Definition:
(defconst *vl-2005-keyword-table* (vl-make-keyword-table (append *vl-extra-keywords* *vl-2005-keywords*)))
Value:
(("always" . :vl-kwd-always)
("ifnone" . :vl-kwd-ifnone)
("rnmos" . :vl-kwd-rnmos)
("and" . :vl-kwd-and)
("incdir" . :vl-kwd-incdir)
("rpmos" . :vl-kwd-rpmos)
("assign" . :vl-kwd-assign)
("include" . :vl-kwd-include)
("rtran" . :vl-kwd-rtran)
("automatic" . :vl-kwd-automatic)
("initial" . :vl-kwd-initial)
("rtranif0" . :vl-kwd-rtranif0)
("begin" . :vl-kwd-begin)
("inout" . :vl-kwd-inout)
("rtranif1" . :vl-kwd-rtranif1)
("buf" . :vl-kwd-buf)
("input" . :vl-kwd-input)
("scalared" . :vl-kwd-scalared)
("bufif0" . :vl-kwd-bufif0)
("instance" . :vl-kwd-instance)
("showcancelled" . :vl-kwd-showcancelled)
("bufif1" . :vl-kwd-bufif1)
("integer" . :vl-kwd-integer)
("signed" . :vl-kwd-signed)
("case" . :vl-kwd-case)
("join" . :vl-kwd-join)
("small" . :vl-kwd-small)
("casex" . :vl-kwd-casex)
("large" . :vl-kwd-large)
("specify" . :vl-kwd-specify)
("casez" . :vl-kwd-casez)
("liblist" . :vl-kwd-liblist)
("specparam" . :vl-kwd-specparam)
("cell" . :vl-kwd-cell)
("library" . :vl-kwd-library)
("strong0" . :vl-kwd-strong0)
("cmos" . :vl-kwd-cmos)
("localparam" . :vl-kwd-localparam)
("strong1" . :vl-kwd-strong1)
("config" . :vl-kwd-config)
("macromodule" . :vl-kwd-macromodule)
("supply0" . :vl-kwd-supply0)
("deassign" . :vl-kwd-deassign)
("medium" . :vl-kwd-medium)
("supply1" . :vl-kwd-supply1)
("default" . :vl-kwd-default)
("module" . :vl-kwd-module)
("table" . :vl-kwd-table)
("defparam" . :vl-kwd-defparam)
("nand" . :vl-kwd-nand)
("task" . :vl-kwd-task)
("design" . :vl-kwd-design)
("negedge" . :vl-kwd-negedge)
("time" . :vl-kwd-time)
("disable" . :vl-kwd-disable)
("nmos" . :vl-kwd-nmos)
("tran" . :vl-kwd-tran)
("edge" . :vl-kwd-edge)
("nor" . :vl-kwd-nor)
("tranif0" . :vl-kwd-tranif0)
("else" . :vl-kwd-else)
("noshowcancelled" . :vl-kwd-noshowcancelled)
("tranif1" . :vl-kwd-tranif1)
("end" . :vl-kwd-end)
("not" . :vl-kwd-not)
("tri" . :vl-kwd-tri)
("endcase" . :vl-kwd-endcase)
("notif0" . :vl-kwd-notif0)
("tri0" . :vl-kwd-tri0)
("endconfig" . :vl-kwd-endconfig)
("notif1" . :vl-kwd-notif1)
("tri1" . :vl-kwd-tri1)
("endfunction" . :vl-kwd-endfunction)
("or" . :vl-kwd-or)
("triand" . :vl-kwd-triand)
("endgenerate" . :vl-kwd-endgenerate)
("output" . :vl-kwd-output)
("trior" . :vl-kwd-trior)
("endmodule" . :vl-kwd-endmodule)
("parameter" . :vl-kwd-parameter)
("trireg" . :vl-kwd-trireg)
("endprimitive" . :vl-kwd-endprimitive)
("pmos" . :vl-kwd-pmos)
("unsigned" . :vl-kwd-unsigned)
("endspecify" . :vl-kwd-endspecify)
("posedge" . :vl-kwd-posedge)
("use" . :vl-kwd-use)
("endtable" . :vl-kwd-endtable)
("primitive" . :vl-kwd-primitive)
("uwire" . :vl-kwd-uwire)
("endtask" . :vl-kwd-endtask)
("pull0" . :vl-kwd-pull0)
("vectored" . :vl-kwd-vectored)
("event" . :vl-kwd-event)
("pull1" . :vl-kwd-pull1)
("wait" . :vl-kwd-wait)
("for" . :vl-kwd-for)
("pulldown" . :vl-kwd-pulldown)
("wand" . :vl-kwd-wand)
("force" . :vl-kwd-force)
("pullup" . :vl-kwd-pullup)
("weak0" . :vl-kwd-weak0)
("forever" . :vl-kwd-forever)
("pulsestyle_onevent" . :vl-kwd-pulsestyle_onevent)
("weak1" . :vl-kwd-weak1)
("fork" . :vl-kwd-fork)
("pulsestyle_ondetect" . :vl-kwd-pulsestyle_ondetect)
("while" . :vl-kwd-while)
("function" . :vl-kwd-function)
("rcmos" . :vl-kwd-rcmos)
("wire" . :vl-kwd-wire)
("generate" . :vl-kwd-generate)
("real" . :vl-kwd-real)
("wor" . :vl-kwd-wor)
("genvar" . :vl-kwd-genvar)
("realtime" . :vl-kwd-realtime)
("xnor" . :vl-kwd-xnor)
("highz0" . :vl-kwd-highz0)
("reg" . :vl-kwd-reg)
("xor" . :vl-kwd-xor)
("highz1" . :vl-kwd-highz1)
("release" . :vl-kwd-release)
("if" . :vl-kwd-if)
("repeat" . :vl-kwd-repeat))